第一图书网

EDA技术实用教程

潘松,黄继业 编著 科学出版
出版时间:

2005-1  

出版社:

科学出版  

作者:

潘松,黄继业 编著  

页数:

405  

字数:

606000  

前言

随着基于PLD的.EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制及计算机应用等领域的重要性曰益突出。随着技术市场与人才市场对EDA的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学和科研领域中来。以全国大学生电子设计竞赛为例,早在1997年第二届竞赛中的个别赛题就已包括了需要用EDA技术才能圆满完成的赛题。此后这方面的内容逐届增加,直到上届赛事中,需要使用EDA技术的赛题超过全部赛题的三分之一,其中有的赛题达到了如果没有EDA技术,将无从下手的程度。事实上,电子设计竞赛赛题的内容既是市场产品要求和技术进步的一种反映,也是对高校相关教学实验内容改革的要求和促进。对美国一些著名大学本科电子与计算机实验室建设情况的调研结果表明,许多著名院校基于PLD的EDA技术在本科教学中有两个明显的特点:一是各专业中EDA教学实验课程的普及性,即在电子通信、工控乃至生物医学工程、计算机等非电类专业都包含了EDA技术的教学试验内容;二是在实验中EDA试验成为主流,大部分传统的实验如数字电路、计算机组成、接口、通信、处理器等实验内容,都融入了EDA实验,并更多地注重创新性实验。这显然是科技发展和市场需求的结果。为了适应EDA技术的发展和EDA技术教学实验的要求,更加突出实验中EDA技术的实用性,以及面向工程实际的特性和电子设计的创新性,本书第二版在实验类型和内容上都有所增加,每一实验的层次性更加清楚,并注重学生设计能力和创新能力的培养,以及与工程实际相结合的动手能力的培养。另一方面,在实验内容的选择上,更突出:EDA技术的特点和优势,所以将原来的“实验”改为“实验与设计”。在章节的安排上也作了调整,为了突出硬件描述语言的重要性及其在教学上的重要地位,第二版在输入方法和设计技术章节中,将原来首先出现的原理图输入设计移到第六章,而将VHDI,设计章节提到了第四章、第五章。另外,在原来的第七章中加入了详细的LPM宏模块应用的说明,从而使许多相关的实验可以提前。在实验项目上也增加和扩充了不少与全国大学生电子设计竞赛典型赛题相关的内容,如电机控制、存储示波器、相位测量仪、移相信号发生器、多功能测试仪、VGA显示控制等。对于比较典型的等精度频率计的设计原理给出了更为详尽的说明。在本书的编写过程中,我们力求解决教学中的几个关键问题。

内容概要

本书根据课堂教学和实验的要求,以提高实际工程设计能力为目的,深入浅出地对EDA技术及相关知识做了系统和完整的介绍。 全书内容分12章,详细介绍了EDA的基本知识、常用的EDA工具的使用方法和目标器件的结构原理、设计输入方法、VHDL的设计优化、基于EDA技术较典型的设计项目等内容。各章都安排了相应的习题和实验,并附参考答案。 本书可作为高等院校电子工程、通信、工业自动化、计算机应用技术、电子对抗、仪器仪表、数字信号或图像处理等学科的本科生或研究生的电子设计或EDA课程的教材及实验指导书,也可作为相关专业技术人员的参考书。

书籍目录

第1章 概述 1.1 EDA技术及其发展 1.2 EDA技术实现目标 1.3 硬件描述语言VHDL 1.4 VHDL综合 1.5 基于VHDL的自顶向下设计方法 1.6 EDA与传统电子设计方法的比较 1.7 EDA的发展趋势 习题第2章 EDA设计流程及其工具 2.1 FPGA/CPLD设计流程 2.2 ASIC及其设计流程 2.3 常用EDA工具 2.4 MAX+plusII概述 2.5 IP核 习题第3章 FPGA/CPLD结构与应用 3.1 概述 3.2 简单PLD原理 3.3 CPLD结构与工作原理 3.4 FPGA结构与工作原理 3.5 FPGA/CPLD测试技术 3.6 FPGA/CPLD产品概述 3.7 CPLD和FPGA的编程与配置 习题 实验第4章 原理图输入设计方法 4.1 1位全加器设计向导 4.2 2位十进制数字频率计设计 4.3 参数可设置LPM兆功能块 4.4 波形输入设计方法 习题 实验第5章 VHDL设计初步 5.1 多路选择器VHDL描述 5.2 寄存器描述及其VHDL语言现象 5.3 1位二进制全加器的VHDL设计 5.4 VHDL文本输入设计方法初步 习题 实验第6章 VHDL设计进阶 6.1 4位加法计数器的VHDL描述 6.2 不同工作方式的时序电路设计 6.3 数据对象DATA OBJECTS 6.4 双向电路和三态控制电路设计 6.5 进程语句结构 6.6 仿真延时 习题 实验第7章 有限状态机设计 7.1 一般有限状态机的设计 7.2 Moore型有限状态机的设计 7.3 Mealy型有限状态机的设计 7.4 状态编码 7.5 状态机剩余状态处理 习题 实验第8章 VHDL结构与要素 8.1 实体 8.2 结构体 8.3 子程序(SUBPROGRAM) 8.4 VHDL库 8.5 VHDL程序包 8.6 配置 8.7 VHDL文字规则 8.8 数据类型 8.9 VHDL操作符 8.10 LPM的VHDL文本方式调用 习题 实验第9章 VHDL基本语句 9.1 顺序语句 9.2 VHDL并行语句 9.3 属性描述与定义语句 习题 实验第10章 设计优化和设计方法 10.1 面积优化 10.2 速度优化 10.3 使用MAX+plusII优化设计 10.4 其他设置 习题 实验第11章 EDA工具软件接口 11.1 EDA软件接口流程 11.2 Synplify与MAX+plusII的接口 11.3 Synplify与ispEXPERT Compiler的接口 11.4 ModelSim与MAX+plusII的接口 11.5 从MAX+plusII向QuartusII转换 习题 实验第12章 电子系统设计实践 12.1 等精度频率计设计 12.2 高速A/D采样控制设计 12.3 VGA图像显示控制器设计 12.4 直接数字合成器(DDS)设计 12.5 使用IP Core设计FIR滤波器 12.6 通过异步收发器(UART)设计 习题 实验附录 习题参考答案参考文献

章节摘录

插图:(1)提出设计说明书,即用自然语言表达系统项目的功能特点和技术参数等。(2)建立VHDL行为模型,这一步是将设计说明书转化为VHDL行为模型。在这一项目的表达中,可以使用满足IEEE标准的VHDL的所有语句而不必考虑可综合性。这一建模行为的目标是通过VHDL仿真器对整个系统进行系统行为仿真和性能评估。在行为模型的建立过程中,如果最终的系统中包括目标ASIC或FPGA以外的电路器件,如RAM、ROM、接口器件或某种单片机,也同样能建立一个完整统一的系统行为模型而进行整体仿真。这是因为可以根据这些外部器件的功能特性设计出VHDL的仿真模型,然后将它们并入主系统的VHDL模型中。事实上,现在有许多公司可提供各类流行器件的VHDL模型,如8051单片机模型、PICl6C5X模型、80386模型等,利用这些模型可以将整个电路系统组装起来。有的VHDL模型既可用来仿真,也可作为实际电路的一部分。例如,现有的PCI总线模型大多是既可仿真又可综合的。(3)VHDL行为仿真。这一阶段可以利用VHDL仿真器(如ModelSim)对顶层系统的行为模型进行仿真测试,检查模拟结果,继而进行修改和完善。这一过程与最终实现的硬件没有任何关系,也不考虑硬件实现中的技术细节,测试结果主要是对系统纯功能行为的考察,其中许多VHDL的语句表达主要为了方便了解系统各种条件下的功能特性,而不可能用真实的硬件来实现。(4)VHDL-RTL级建模。如上所述,VHDL只有部分语句集合可用于硬件功能行为的建模,因此在这一阶段,必须将VHDL的行为模型表达为VHDL行为代码(或称VHDL.RTL级模型)。这里应该注意的是,VHDL行为代码是用VHDL中可综合子集中的语句完成的,即可以最终实现目标器件的描述。因为利用VHDL的可综合的语句同样可以对电路方便地进行行为描述,而目前许多主流的VHDL综合器都能将其综合成RTL级,乃至门级模型。从第(3)步到第(4)步,人工介入的内容比较多,设计者需要给予更多的关注。(5)前端功能仿真。在这一阶段对VHDL-RTL级模型进行仿真,称为功能仿真。尽管VHDL-RTL级模型是可综合的,但对它的功能仿真仍然与硬件无关,仿真结果表达的是可综合模型的逻辑功能。


编辑推荐

《EDA技术实用教程(第2版)》由科学出版社出版。

图书封面

广告

下载页面


EDA技术实用教程 PDF格式下载



详细易懂


喜欢,不错,很实用。


商品挺符合要求的。以后会继续在此购买商品的


x¥%…#¥@#¥%


相关图书