第一图书网

CPLD/FPGA与ASIC设计实践教程

陈赜 科学
出版时间:

2010-9  

出版社:

科学  

作者:

陈赜  

Tag标签:

无  

前言

2001年5月,科学出版社出版了作者的第一本关于CPLD/FPGA的教材《在系统可编程技术实践教程》,在近五年的使用过程中,兄弟院校的老师给我们提了许多宝贵意见,我们在使用该教材的过程中也积累了许多经验,尤其是现代EDA技术的发展,新的技术和知识发展迅速。为了保持教材的先进性,把这几年教学中反映现代EDA技术的最新成果融到教材中去,2003年春季,作者将该教材的第二版申报了华中科技大学“新世纪教学改革工程”第三批立项教材,并获批准。原选题书稿《在系统可编程技术实践教程》现改为《CPLD/FPGA与ASIC设计实践教程》,主要原因基于以下两点:①近几年大规模可编程逻辑器件和设计技术发展较快,书稿中充实了许多新的内容,改名是为了使书稿的名字尽量反映书稿内容;②现在许多学校开设了大规模可编程逻辑器件的设计课程,为了尽量与学校设置的该方面的课程名字一致。现代EDA技术,与原来的大规模可编程逻辑器件的应用相比较,它的应用范围已远远超出了数字逻辑器件的应用范围。但是,目前高校工科各电类专业的电工电子类学生的数字电路的基础课程是“脉冲与数字电路”或“数字电子技术基础”,主要还是以此为基础,学习数字电路的基本设计方法。(CPLD/FPGA与ASIC设计实践教程》的对应课程面向实际工程应用,紧跟技术发展,要求学生掌握数字系统新的设计方法。所以,它是“脉冲与数字电路”的后续课,用现代EDA技术解决传统的数字问题。该课程的后续课程是“数字信号处理”与“IC设计”等相关课程。在“数字信号处理”应用方面,由FPGA代替DSP来实现算法,能够提高系统的速度。该课程的开设也为IC设计打下基础。中国IC设计业目前还十分弱小,但有巨大发展潜力。信息产业部制定的“十五”产业规划中,提出IC产业要以芯片设计为突破口。SOC是当前IC设计发展的主流,开发和应用SOC也是当前IT产业发展的需要。国家重点支持的产品包括:①CPU产品——微处理器、微控制器、DSP;②移动芯片——基站芯片、射频芯片、军用电路及其支持产品;③数字音视频电路——数字VCD、DVD、DTV、HDTV、数码相机、PDA等支持产品;④IC卡芯片——电话卡、身份卡、金融卡等;⑤量大、面广的适销对路产品——CMOS运放、存储卡等。IT行业的核心是Ic,Ic知识产权的核心是设计,因此,加强IC设计业的技术创新已成为头等重要的任务。本书由华中科技大学电子与信息工程系陈赜主编。参加编写的还有华中科技大学电子与信息工程系朱如琪、罗杰、鲁放和湖南商学院王建明等老师。本书经华中科技大学教材编审委员会审定,作为2003年度学校立项出版教材,并获学校“教学改革工程”教材建设基金资助,在此表示衷心感谢!在本书出版之际,感谢华中科技大学国家电工电子教学基地老师们的支持和帮助;感谢南昌大学邹道胜老师、武汉理工大学王洪老师及其他所有使用过作者第一版教材的老师和读者;吴旭辉、宋珂、刘云等同学为本书的出版做了许多工作,在此表示感谢;本书还参考了许多同行专家的专著和文章,在此也表示深深的谢意!本书难免有不成熟乃至错误的地方,恳请读者谅解和指正!

内容概要

本书以大规模可编程逻辑器件为基础,详细介绍了PLD、CPLD/FPGA器件的原理和开发技术。第1~3章介绍EDA技术和可编程逻辑器件的原理,CPLD/FPGA器件的性能指标与选型、编程方法和下载电路,以及常用EDA设计软件的使用。第4~6章先详细介绍Verilog HDL语言,然后按照数字电路与逻辑设计课程的顺序,通过实例说明常用数字逻辑电路的实现方法,并讨论利用Verilog HDL设计可综合的数字电路的方法与技巧。第7、8章是设计实验部分,包括经典数字电路设计练习和综合性设计项目。第9章介绍嵌入式系统的发展趋势、SoPC设计技术及其应用等。 本书可作为高等院校电子电气类、机电类等专业数字系统设计课程的教材,也可作为相关领域工程技术人员的参考书。

书籍目录

丛书序第二版前言第一版前言第1章 绪论 1.1 概述 1.1.1 电子器件的发展 1.1.2 电子设计技术的发展 1.2 EDA技术的发展史 1.2.1 EDA概念 1.2.2 EDA技术的发展 1.3 CPLD/FPGA的发展史 1.3.1 数字集成电路的分类 1.3.2 可编程逻辑器件的发展史 1.4 常用EDA设计工具介绍 1.4.1 电子电路设计与仿真工具 1.4.2 PCB设计软件 1.4.3 IC设计软件 1.4.4 CPLD/FPGA应用设计工具 1.5 数字系统的设计方法 1.5.1 数字电路设计的基本方法 1.5.2 现代数字系统的设计方法 1.5.3 CPLD/FPGA应用设计流程 1.5.4 基于QuartusⅡ的设计流程 1.5.5基于ISE的设计流程 思考与练习题第2章 可编程逻辑器件基础 2.1 引言 2.2 PLD器件及其分类 2.2.1 PLD器件 2.2.2 PLD的分类 2.3 可编程逻辑器件结构简介 2.3.1 标准门单元、电路示意和PAL等效图 2.3.2 PLD的逻辑表示方法 2.3.3 PLD的基本结构 2.4 CPLD/FPGA的结构和原理 2.4.1 EPLD和CP[D的基本结构 2.4.2 FPGA的基本结构 2.5 CPLD/FPGA器件的编程 2.5.1 Altera公司的EPLD/CPLD器件及其配置与编程 2.5.2 Lattice公司的ISP-CPLD器件及其编程 2.5.3 Xilinx公司的CPLD/FPGA器件及其编程 2.5.4 CPLD/FPGA通用下载电路设计 2.6 边界扫描测试技术 思考与练习题第3章 EDA工具应用设计实践 3.1 QuartusⅡ简介 3.2 QuartusⅡ的使用方法 3.2.1 原理图输入法 3.2.2 HDL输入法 思考与练习题第4章 数字系统与Verilog HDL描述 4.1 Verilog HDL的一般结构 4.1.1 电子系统、电路和模块 4.1.2 Verilog HDL模块的结构 4.1.3 Verilog HDL模块的描述方式 4.2 数字电路的Verilog HDL模型与设计 4.2.1 交通灯监视电路设计 4.2.2 四位二进制数/842lBCD码 4.2.3 函数发生器设计 4.2.4 四选一数据选择器 4.2.5 三进制计数器设计 4.2.6 移位寄存器设计 4.2.7 伪随机序列信号发生器设计 思考与练习题第5章 Verilog HDL语言基础 5.1 为什么要用Verilog HDL 5.1.1 概述 5.1.2 Verilog HDL和VHDL比较 5.1.3 Verilog HDL语言的主要功能 5.1.4 传统数字电路设计方法的回顾 5.2 Verilog HDL基础语法 5.2.1 Verilog HDL的词法 5.2.2 Verilog HDL的数据类型 5.2.3 Verilog HDL运算符及表达式 5.2.4 系统任务与系统函数 5.2.5 VerilogHDL的仿真 5.3 Verilog HDL行为描述 5.3.1 行为描述的结构 5.3.2 语句块 5.3.3 控制语句 5.3.4 赋值语句 5.3.5 任务与函数结构 5.3.6 时序控制 5.3.7 用户定义的原语 思考与练习题第6章 Verilog HDL设计进阶 6.1 Verilog HDL编程风格 6.2 组合逻辑电路设计 6.2.1 基本的门电路 6.2.2 数据比较器 6.2.3 数据选择器 6.2.4 编码器和译码器设计 6.3 时序逻辑电路设计 6.3.1 触发器设计 6.3.2 数据锁存器设计 6.3.3 数据寄存器设计 6.3.4 移位寄存器设计 6.3.5 计数器设计 6.4 状态机设计 6.4.1 状态机的结构 6.4.2 利用Verilog HDL设计状态机 6.5 设计方法与技巧 6.5.1 逻辑综合 6.5.2 综合工具的性能 6.5.3 综合的一般原则 6.5.4 HDL编码指导 6.5.5 如何消除毛刺 6.5.6 阻塞赋值与非阻塞赋值的区别 6.5.7 代码对综合的影响 6,5.8 用always块实现较复杂的组合逻辑电路 6.5.9 Verilog HDL中函数的使用 6.5.10 Verilog HDL中任务的使用 思考与练习题第7章 综合设计实例 7.1 篮球30秒可控计时器 7.2 汽车尾灯控制电路 7.3 交通控制灯逻辑电路 7.4 简易电子钟 7.5 环行计数器与扭环行计数器 7.6 洗衣机控制电路 7.7 八位可逆计数器和三角波发生器 7.8 简易数字频率计 思考与练习题第8章 设计实验项目 8.1 可逆四位码变换器 8.2 可逆计数器 8.3 步进电机脉冲分配器电路 8.4 伪随机信号产生器 8.5 舞台彩灯控制电路 8.6 数字跑表电路 8.7 电子密码锁 8.8 数字式竞赛抢答器 8.9 脉冲按键电话显示器 8.10 出租车自动计费器设计 8.11 电话计费器 8.12 多功能数字钟设计第9章 SoPC设计 9.1 SoPC概述 9.2 SoPC设计 9.2.1 FPGA的主要应用 9.2.2 SoPC设计技术 9.2.3 SoPC应用设计 9.3 SoPC设计实例 9.3.1 设计项目 9.3.2 设计任务 9.3.3 总体设计 9.3.4 概要设计 9.3.5 详细设计 9.3.6 下载测试 9.3.7 设计实现 9.3.8 部分程序源代码附录A Verilog HDL关键字附录B MY-FPGA-EP1G3开发板介绍参考文献

章节摘录

插图:


编辑推荐

《CPLD/FPGA与ASIC设计实践教程(第2版)》内容全面系统、讲解循序渐进,满足数字电路基础课程实验、小型数字系统设计、嵌入式系统设计等多层次的教学需求。面向实际工程应用,以Verilog HDL语言为例详细剖析数字系统的设计方法,体现硬件设计软件化的设计思想。紧跟技术发展方向,新增soPc等相关内容,力求帮助学生掌握数字系统设计的新方法,为后续课程打下基础。《CPLD/FPGA与ASIC设计实践教程(第2版)》配有相关教辅资料和实验资料,便于教学和实验的开展。

图书封面

图书标签Tags

广告

下载页面


CPLD/FPGA与ASIC设计实践教程 PDF格式下载



买完没用到,书还不错


相关图书