第一图书网

Verilog数字系统设计教程

夏宇闻 北京航空航天大学出版社
出版时间:

2008-6  

出版社:

北京航空航天大学出版社  

作者:

夏宇闻  

页数:

477  

Tag标签:

无  

前言

  数字信号处理(DSP)系统的研究人员一直在努力寻找各种优化的算法来解决相关的信号处理问题。当他们产生了比较理想的算法思路后,就在计算机上用C语言或其他语言程序来验证该算法,并不断修改以期完善,然后与别的算法作性能比较。在现代通信和计算机系统中,对于DSP算法评价最重要的指标是看它能否满足工程上的需要。而许多工程上的需要都有实时响应的要求,也就是所设计的数字信号处理(DSP)系统必须在限定的时间内,如在几个毫秒(ms)甚至于几个微秒(μs)内,对所输入的大量数据完成相当复杂的运算,并输出处理结果。这时如果仅仅使用通用的微处理器,即使是专用于信号处理的微处理器,往往也无法满足实时响应的要求。因此,不得不设计专用的高速硬线逻辑来完成这样的运算。设计这样的有苛刻实时要求的、复杂的高速硬线运算逻辑是一件很有挑战性的工作,即使有了好的算法而没有好的设计工具和方法也很难完成。  近30年来,我国在复杂数字电路设计技术领域与国外的差距越来越大。作为一名在大学讲授专用数字电路与系统设计课程的老师深深感到责任的重大。我个人认为,我国在这一技术领域的落后与大学的课程设置和教学条件有关。因为我们没有及时把国外最先进的设计方法和技术介绍给学生,也没有给他们创造实践的机会。1995年我受学校的委托,筹建世行贷款的电路设计自动化(EDA)实验室。通过13年的摸索、实践,逐步掌握了利用VerilogHDL设计复杂数字电路的仿真和综合技术。在此期间为航天部等有关单位设计了卫星信道加密用的复杂数字电路,提供给他们经前后仿真验证的VerilogHDL源代码,得到很高的评价。在其后的几年中又为该单位设计了卫星下行信道RS(255,223)编码/解码电路和卫星上行信道BCH(64,56)编码/解码电路,这几个项目已先后通过有关单位的验收。1999年到2000年期间,又成功地设计了用于小波(Wavelet)图像压缩/解压缩的小波卷积器和改进的零修剪树算法(即SPIHT算法)的RTL级VerilogHDL模型。不但成功地对该模型进行了仿真和综合,而且制成的可重新配置硬线逻辑(采用ALTERAFLEX10K系列CPLD/10/30/50各一片)的PCI线路板,能完成约2000条C语句程序才能完成的图像/解压缩算法。运算结果与软件完成的完全一致,而且速度比用微型计算机快得多。2003年由我协助指导的JPEG2000算法硬线逻辑设计,在清华同行的努力下完成了FPGA验证后并成功地投片,该芯片目前已应用于实时监控系统。近年来我带领的研究生分别为日本某公司、香港科技大学电子系、革新科技公司和神州龙芯集成电路设计公司完成多项设计,其中包括SATA接口、AMBA总线接口、LED控制器和USB控制器等在内的多项IP设计,取得了良好的社会效益和声誉。2006年秋,正式受聘于神州龙芯集成电路设计公司担任技术顾问,为中国的龙芯二号等IC事业提供必要的技术支持。  本书是在1998年北京航空航天大学出版社出版的《复杂数字电路与系统的VerilogHDL设计技术》和2003年《Verilog数字系统设计教程》基础上修订的,是一本既有理论又有实践的设计大全。由于教学、科研、技术资料翻译和实验室的各项工作很忙,只能利用零碎时间,一点一滴地把积累的教学经验和新收集到的材料补充输入到计算机中,抽空加以整理。我们使用Verilog设计复杂数字逻辑电路虽然已经有13年的时间,但仍旧在不断地学习提高之中,书中难免存在疏忽、错误之处,敬请细心的读者不吝指教。我之所以在原版基础上把这本书再版,是想把原教材中一些不足的地方作一些必要的补充和修改,在大学生和研究生中加快Verilog设计技术的推广,尽快培养一批掌握先进设计技术的跨世纪的人才。期望本书能在这一过程中起到抛砖引玉的作用。  回想起来,这本书实质上是我们实验室全体老师和同学们多年的劳动成果,其中在EDA实验室工作过的历届研究生张琰、山岗、王静璇、田玉文、冯文楠、杨柳、傅红军、龚剑、王书龙、胡瑛、杨雷、邢伟、管丽、刘曦、王进磊、王煜华、苏宇、张云帆、杨鑫、徐伟俊、邢小地、霍强、宋成伟、邢志成、李鹏、李琪、陈岩、赵宗民等都帮我做了许多工作,如部分素材的翻译、整理、录入和一些VerilogHDL模块的设计修改和验证。  本次再版是在本书第8次印刷之后受北航出版社之托进行的,趁此机会让我衷心地感谢在编写本书过程中所有给过我帮助和鼓励的老师和同学们。  教学中使用的多媒体课件已在本书第3次印刷后交给出版社,有需要者可向北航出版社发行部索取,可以免费提供给有关教师指导教学和备课演示之用。

内容概要

本书讲述了自20世纪90年代开始在美国和其他先进的工业化国家逐步推广的利用硬件描述语言(Verilog HDL)建模、仿真和综合的设计复杂数字逻辑电路与系统的方法和技术。书中内容从算法和计算的基本概念出发,讲述如何由硬线逻辑电路来实现复杂数字逻辑系统的方法。全书共分4部分。第一部分共8章,即Verilog数字设计基础篇,可作为本科生的入门教材。第二部分共10章,即设计和验证篇,可作为本科高年级学生或研究生学习数字系统设计的参考书。第三部分为实践篇,共提供12个上机练习和实验范例。第四部分是语法篇,即Verilog 硬件描述语言参考手册;IEEE Verilog13642001标准简介,以反映Verilog语法的最新变化,可供读者学习、查询之用。 本书的教学方式以每2学时讲授一章为宜,每次课后需要花10 h复习思考。完成10章学习后,就可以开始做上机练习,由简单到复杂,由典型到一般,循序渐进地学习Verilog HDL基础知识。按照书上的步骤,可以使大学电子类及计算机工程类本科及研究生,以及相关领域的设计工程人员在半年内掌握Verilog HDL设计技术。 本书可作为电子工程类、自动控制类、计算机类的大学本科高年级及研究生教学用书,亦可供其他工程人员自学与参考。

作者简介

  夏宇闻,北京航空航天大学EDA实验室教授。

书籍目录

第一部分 Verilog数字设计基础 第1章 Verilog的基本知识  1.1 硬件描述语言HDL  1.2 Verilog HDL的历史 1.2.1 什么是Verilog HDL 1.2.2 Verilog HDL的产生及发展 1.3 Verilog HDL和 VHDL的比较  1.4 Verilog的应用情况和适用的设计  1.5 采用Verilog HDL设计复杂数字电路的优点 1.5.1 传统设计方法——电路原理图输入法 1.5.2 Verilog HDL设计法与传统的电路原理图输入法的比较 1.5.3 Verilog的标准化与软核的重用 1.5.4 软核、固核和硬核的概念及其重用  1.6 采用硬件描述语言(Verilog HDL)的设计流程简介 1.6.1 自顶向下(Top_Down)设计的基本概念 1.6.2 层次管理的基本概念 1.6.3 具体模块的设计编译和仿真的过程 1.6.4 具体工艺器件的优化、映像和布局布线  小结  思考题 第2章 Verilog语法的基本概念  概述 2.1 Verilog模块的基本概念  2.2 Verilog用于模块的测试  小结  思考题 第3章 模块的结构、数据类型、变量和基本运算符号  概述  3.1 模块的结构 3.1.1 模块的端口定义 3.1.2 模块内容 3.1.3 理解要点 3.1.4 要点总结  3.2 数据类型及其常量和变量 3.2.1 常量 3.2.2 变量  3.3 运算符及表达式 3.3.1 基本的算术运算符 3.3.2 位运算符  小结  思考题 第4章 运算符、赋值语句和结构说明语句  概述  4.1 逻辑运算符  4.2 关系运算符  4.3 等式运算符  4.4 移位运算符  4.5 位拼接运算符  4.6 缩减运算符  4.7 优先级别  4.8 关 键 词  4.9 赋值语句和块语句   4.9.1 赋值语句   4.9.2 块语句  小结  思考题 第5章 条件语句、循环语句、块语句与生成语句  概述  5.1 条件语句(if_else语句)  5.2 case语句  5.3 条件语句的语法  5.4 多路分支语句  5.5 循环语句   5.5.1 forever语句   5.5.2 repeat语句   5.5.3 while语句   5.5.4 for语句  5.6 顺序块和并行块   5.6.1 块语句的类型   5.6.2 块语句的特点  5.7 生成块   5.7.1 循环生成语句   5.7.2 条件生成语句   5.7.3 case生成语句  5.8举例   5.8.1 四选一多路选择器   5.8.2 四位计数器  小结  思考题 第6章 结构语句、系统任务、函数语句和显示系统任务   概述  6.1 结构说明语句 6.1.1 initial语句 6.1.2 always语句  6.2 task和function说明语句 6.2.1 task和function说明语句的不同点 6.2.2 task说明语句 6.2.3 function说明语句 6.2.4 函数的使用举例 6.2.5 自动(递归)函数 6.2.6 常量函数 6.2.7 带符号函数   6.3 关于使用任务和函数的小结 6.4 常用的系统任务 6.4.1 $display和$write任务 6.4.2 文件输出 6.4.3 显示层次 6.4.4 选通显示 6.4.5 值变转储文件  6.5 其他系统函数和任务   小结  思考题  第7章 调试用系统任务和常用编译预处理语句  概述  7.1 系统任务 $monitor  7.2 时间度量系统函数$time  7.3 系统任务$finish  7.4 系统任务$stop  7.5 系统任务$readmemb和$readmemh  7.6 系统任务 $random  7.7 编译预处理 7.7.1 宏定义?define 7.7.2 文件包含"处理?include 7.7.3 时间尺度?timescale 7.7.4 条件编译命令?ifdef、?else、?endif 7.7.5 条件执行  小结  思考题 第8章 语法概念总复习练习  概述  小结第二部分 设计和验证部分 第9章 Verilog HDL模型的不同抽象级别  概述  9.1 门级结构描述 9.1.1 与非门、或门和反向器及其说明语法 9.1.2 用门级结构描述D触发器 9.1.3 由已经设计成的模块构成更高一层的模块  9.2 Verilog HDL的行为描述建模 9.2.1 仅用于产生仿真测试信号的Verilog HDL行为描述建模 9.2.2 Verilog HDL建模在TopDown设计中的作用和行为建模的可综合性问题  9.3 用户定义的原语  小结  思考题 第10章 如何编写和验证简单的纯组合逻辑模块  概述  10.1 加法器  10.2 乘法器  10.3 比较器  10.4 多路器  10.5 总线和总线操作  10.6 流水线  小结  思考题 第11章 复杂数字系统的构成  概述  11.1 运算部件和数据流动的控制逻辑 11.1.1 数字逻辑电路的种类 11.1.2 数字逻辑电路的构成  11.2 数据在寄存器中的暂时保存  11.3 数据流动的控制  11.4 在Verilog HDL设计中启用同步时序逻辑  11.5 数据接口的同步方法  小结  思考题 第12章 同步状态机的原理、结构和设计  概述  12.1 状态机的结构  12.2 Mealy状态机和Moore状态机的不同点  12.3 如何用Verilog来描述可综合的状态机 12.3.1 用可综合Verilog模块设计状态机的典型办法 12.3.2 用可综合的Verilog模块设计、用独热码表示状态的状态机 12.3.3 用可综合的Verilog模块设计、由输出指定的码表示状态的状态机 12.3.4 用可综合的Verilog模块设计复杂的多输出状态机时常用的方法  小结  思考题 第13章 设计可综合的状态机的指导原则  概述  13.1 用Verilog HDL语言设计可综合的状态机的指导原则  13.2 典型的状态机实例  13.3 综合的一般原则  13.4 语言指导原则  13.5 可综合风格的Verilog HDL模块实例 13.5.1 组合逻辑电路设计实例 13.5.2 时序逻辑电路设计实例  13.6 状态机的置位与复位 13.6.1 状态机的异步置位与复位 13.6.2 状态机的同步置位与复位 小结 思考题 第14章 深入理解阻塞和非阻塞赋值的不同  概述 14.1 阻塞和非阻塞赋值的异同 14.1.1 阻塞赋值 14.1.2 非阻塞赋值  14.2 Verilog模块编程要点  14.3 Verilog的层次化事件队列  14.4 自触发always块  14.5 移位寄存器模型  14.6 阻塞赋值及一些简单的例子  14.7 时序反馈移位寄存器建模  14.8 组合逻辑建模时应使用阻塞赋值  14.9 时序和组合的混合逻辑——使用非阻塞赋值  14.10 其他阻塞和非阻塞混合使用的原则  14.11 对同一变量进行多次赋值  14.12 常见的对于非阻塞赋值的误解  小结  思考题 第15章 较复杂时序逻辑电路设计实践  概述  小结  思考题 第16章 复杂时序逻辑电路设计实践  概述 16.1 二线制I2C CMOS串行EEPROM的简单介绍 16.2 I2C总线特征介绍 16.3 二线制I2C CMOS串行EEPROM的读写操作 16.4 EEPROM的Verilog HDL程序 总结  思考题 第17章 简化的 RISC_CPU设计  概述 17.1 课题的来由和设计环境介绍 17.2 什么是CPU 17.3 RISC_CPU结构  17.3.1 时钟发生器  17.3.2 指令寄存器 17.3.3 累加器 17.3.4 算术运算器 17.3.5 数据控制器 17.3.6 地址多路器 17.3.7 程序计数器 17.3.8 状态控制器 17.3.9 外围模块  17.4 RISC_CPU 操作和时序 17.4.1 系统的复位和启动操作 17.4.2 总线读操作 17.4.3 总线写操作 17.5 RISC_CPU寻址方式和指令系统 17.6 RISC_CPU模块的调试 17.6.1 RISC_CPU模块的前仿真 17.6.2 RISC_CPU模块的综合 17.6.3 RISC_CPU模块的优化和布局布线  小结  思考题 第18章 虚拟器件/接口、IP和基于平台的设计方法及其在大型数字系统设计中的作用  概述  18.1 软核和硬核、宏单元、虚拟器件、设计和验证IP以及基于平台的设计方法  18.2 设计和验证IP供应商  18.3 虚拟模块的设计  18.4 虚拟接口模块的实例  小结  思考题第三部分 设计示范与实验练习 概述 练习一 简单的组合逻辑设计 练习二 简单分频时序逻辑电路的设计 练习三 利用条件语句实现计数分频时序电路 练习四 阻塞赋值与非阻塞赋值的区别 练习五 用always块实现较复杂的组合逻辑电路 练习六 在Verilog HDL中使用函数 练习七 在Verilog HDL中使用任务(task) 练习八 利用有限状态机进行时序逻辑的设计 练习九 利用状态机实现比较复杂的接口设计 练习十 通过模块实例调用实现大型系统的设计 练习十一 简单卷积器的设计  附录一 A/D转换器的Verilog HDL模型机所需要的技术参数  附录二 2K*8位 异步 CMOS 静态RAM HM65162模型 练习十二 利用SRAM设计一个FIFO第四部分 语法篇 语法篇1 关于Verilog HDL的说明  一、 关于 IEEE 1364标准  二、 Verilog简介  三、 语法总结  四、 编写Verilog HDL源代码的标准  五、 设计流程 语法篇2 Verilog硬件描述语言参考手册  一、 Verilog HDL语句与常用标志符(按字母顺序排列)  二、 系统任务和函数(System task and function)  三、 常用系统任务和函数的详细使用说明  四、 Command Line Options 命令行的可选项  五、 IEEE Verilog 13642001标准简介参考文献

章节摘录

  第一部分 VerilOG数字设计基础  数字通信和自动化控制等领域的高速发展和世界范围内的高技术竞争对数字系统提出了越来越高的要求,特别是需要设计具有实时信号处理能力的专用集成电路,要求把包括多个CPU内核在内的整个电子系统综合到一个芯片(SOC)上。设计并验证这样复杂的电路及系统已不再是简单的个人劳动,而需要综合许多专家的经验和知识才能够完成。近10年来电路制造工艺技术进步非常迅速,目前国际上60 nm的制造工艺,已达到工业化生产的规模,而电路设计能力远远落后于制造技术的进步。在数字逻辑设计领域,迫切需要一种共同的工业标准来统一对数字逻辑电路及系统的描述,这样就能把系统设计工作分解为逻辑设计(前端)、电路实现(后端)和验证三个互相独立而又相关的部分。由于逻辑设计的相对独立性就可以把专家们设计的各种常用数字逻辑电路和组件(如FFT算法、DCT算法部件,DDRAM读写控制器等)建成宏单元(megcell)或软(固/硬)核,也称作Soft(firm/hard)Core,即IP(知识产权内核的英文缩写)库供设计者引用,设计者可以直接利用它们的行为模型设计并验证其他电路,以减少重复劳动,提高工作效率。电路的实现则可借助于综合工具和IP的重复利用,以及布局布线工具(与具体工艺技术有关)自动地完成。  Verilog HDL和VHDL这两种工业标准的产生顺应了历史的潮流,因而得到了迅速的发展。美国、日本等国由于高级设计工程师人力资源成本远高于中国,所以,近年来把许多设计工作转移到中国大陆,以降低设计成本。作为新世纪的中国大学生和年轻的电子工程师应该尽早掌握这种新的设计方法,使我国在复杂数字电路及系统的设计竞争中逐步缩小与美国等先进的工业发达国家的差距。  第1章 Verilog的基本知识  1.1 硬件描述语言HDL  硬件描述语言(HDL,hardware description language)是一种用形式化方法来描述数字电路和系统的语言。数字电路系统的设计者利用这种语言可以从上层到下层(从抽象到具体)逐层描述自己的设计思想,用一系列分层次的模块来表示极其复杂的数字系统。然后利用电子设计自动化(以下简称为EDA)工具逐层进行仿真验证,再把其中需要变为具体物理电路的模块组合经由自动综合工具转换到门级电路网表。接下去再用专用集成电路(ASIC)或现场可编程门阵列(FPGA)自动布局布线工具把网表转换为具体电路布线结构的实现。在制成物理器件之前,还可以用Verilog的门级模型(原语元件或UDP)来代替具体基本元件。因其逻辑功能和延时特性与真实的物理元件完全一致,所以在仿真工具的支持下能验证复杂数字系统物理结构的正确性,使投片的成功率达到l00%。目前,这种称为高层次设计(high—level—design)的方法已被广泛采用。据统计,目前在美国硅谷约有90%以上的ASIC和FPGA已采用Verilog硬件描述语言方法进行设计。  硬件描述语言的发展至今已有近30年的历史,并成功地应用于设计的各个阶段:建模、仿真、验证和综合等。到20世纪80年代,已出现了上百种硬件描述语言,并对设计自动化曾起到了极大的促进和推动作用。但是,这些语言一般各自面向特定的设计领域与层次,而且众多的语言使用户无所适从。因此急需一种面向设计的多领域、多层次、并得到普遍认同的标准硬件描述语言。进入20世纪80年代后期,硬件描述语言向着标准化的方向发展。最终,VHDL和Verilog HDL语言适应了这种趋势的要求,先后成为IEEE标准。把硬件描述语言用于自动综合还只有10多年的历史。最近l0多年来,用综合工具把可综合风格的HDL模块自动转换为具体电路发展非常迅速,大大地提高了复杂数字系统的设计生产率。在美国和日本等先进电子工业国,Verilog语言已成为设计数字系统的基础。本书第一部分将通过具体例子,由浅入深地帮助同学们学习:  (1)Verilog的基本语法;  (2)简单的可综合Verilog模块与逻辑电路的对应关系;  (3)简单的Verilog测试模块和它的意义。  书中第二部分将通过较复杂的设计实例,帮助同学们掌握:  (1)如何编写复杂的多层次的可综合风格的Verilog HDL模块;  (2)如何用可综合的Verilog模块构成一个可靠的复杂IP软核和固核模块;  (3)如何借助于Verilog语言,并利用已有的虚拟行为模块对所设计的系统模块(由可综合的自主和商业IP模块组成)进行全面可靠的测试和验证(包括软/硬件协同测试的基本概念)。  1.2 Verilog HDL的历史  1.2.1什么是Verilog HDL  Verilog HDL是硬件描述语言的一种,用于数字电子系统设计。该语言允许设计者进行各种级别的逻辑设计,进行数字逻辑系统的仿真验证、时序分析、逻辑综合。它是目前应用最广泛的一种硬件描述语言。据有关文献报道,目前在美国使用Verilog HDL进行设计的工程师大约有l0多万人,全美国有200多所大学教授用Veril09硬件描述语言的设计方法。在我国台湾地区几乎所有著名大学的电子和计算机工程系都讲授Verilog有关的课程。  1.2.2 Verilog HDL的产生及发展  Verilog HDL是在1983年由GDA(GateWay Design Automation)公司的Phil Moorby首创的。Phil Moorby后来成为Veril09—XL的主要设计者和Cadence公司(Cadence DesignSystem)的第一个合伙人。在1984至1985年,Moorby设计出了第一个名为Veril09—XL的仿真器;l986年,他对Verilog HDL的发展又作出了另一个巨大贡献,即提出了用于快速门级仿真的XL算法。  随着VerilOG—XL算法的成功,Verilog HDL语言得到迅速发展。1989年,Cadence公司收购了GDA公司,Verilog HDL语言成为Cadence公司的私有财产。l990年,Cadence公司决定公开Verilog HDL语言,于是成立了0VI(Open Verilog International)组织来负责促进Verilog HDL语言的发展。基于Verilog HDL的优越性,IEEE于1995年制定了VerilogHDL的IEEE标准,即Verilog HDLl364—1995;2001年发布了Verilog HDLl364—2001标准;2005年SystemVerilog IEEE l800—2005标准的公布,更使得Veril09语言在综合、仿真验证和模块的重用等性能方面都有大幅度的提高。  图1.1展示了Veril09的发展历史和未来。  1.3 Verilog HDL和VHDL的比较  Verilog HDL和VHDL都是用于逻辑设计的硬件描述语言,并且都已成为IEEE标准。VHDL是在1987年成为IEEE标准,Verilog HDL则在1995年才正式成为IEEE标准。之所以VHDL比Verilog HDL早成为IEEE标准,这是因为VHDL是由美国军方组织开发的,而Verilog HDL则是从一个普通的民间公司的私有财产转化而来,基于Verilog HDL的优越性,才成为IEEE标准,因而有更强的生命力。  VHDL其英文全名为VHSIC Hardware Description Language,而VHSIC则是VeryHigh Speed Integerated Circuit的缩写词,意为甚高速集成电路,故VHDL其准确的中文译名为甚高速集成电路的硬件描述语言。  Verilog HDL和VHDL作为描述硬件电路设计的语言,其共同的特点在于:能形式化地抽象表示电路的行为和结构;支持逻辑设计中层次与范围的描述;可借用高级语言的精巧结构来简化电路行为的描述;具有电路仿真与验证机制以保证设计的正确性;支持电路描述由高层到低层的综合转换;硬件描述与实现工艺无关(有关工艺参数可通过语言提供的属性包括进去);便于文档管理;易于理解和设计重用。  但是Verilog HDL和VHDL又各有其自己的特点。由于Verilog HDL早在1983年就已推出,至今已有20多年的应用历史,因而Verilog HDL拥有更广泛的设计群体,成熟的资源也远比VHDL丰富。与VHDL相比Verilog HDL的最大优点是:它是一种非常容易掌握的硬件描述语言,只要有C语言的编程基础,通过20学时的学习,再加上一段实际操作,一般同学可在2~3个月内掌握这种设计方法的基本技术。而掌握VHDL设计技术就比较困难。这是因为VHDL不很直观,需要有Ada编程基础,一般认为至少需要半年以上的专业培训,才能掌握VHDL的基本设计技术。2005年,SystemVerilog IEEEl800—2005标准公布以后,集成电路设计界普遍认为Verilog HDL将在10年内全面取代VHDL成为ASIC设计行业包揽设计、测试和验证功能的的唯一语言。图1.2所示的是Verilog HDL和VHDL建模能力的比较图,供读者参考。-2005标准,不但使Veril09的可综合性能和系统仿真性能方面有大幅度的提高,而且在IP的重用方面(包括设计和验证模块的重用)也有重大的突破。因此,Verilog HDL不但作为学习HDL设计方法的入门和基础是比较合适的,而且对于ASIC设计专业人员而言,也是必须掌握的基本技术。学习掌握Verilog HDL建模、仿真、综合、重用和验证技术不仅可以使同学们对数字电路设计技术有更进一步的了解,而且可以为以后学习高级的行为综合、物理综合、IP设计和复杂系统设计和验证打下坚实的基础。  1.4 Verilog的应用情况和适用的设计  近10多年以来,EDA界一直对在数字逻辑设计中究竟采用哪一硬件描述语言争论不休。  近二三年来,美国、日本和我国台湾地区电子设计界的情况已经清楚地表明,在高层次数字系统设计领域,Verilog已经取得压倒性的优势;


编辑推荐

  《普通高等教育"十一五"国家级规划教材?北京高等教育精品教材?Verilog数字系统设计教程(第2版)》可作为电子工程类、自动控制类、计算机类的大学本科高年级及研究生教学用书,亦可供其他工程人员自学与参考。  《Verilog数字系统设计教程》是在1998年北京航空航天大学出版社出版的《复杂数字电路与系统的Verilog HDL设计技术》和2003年《Verilog数字系统设计教程》基础上修订的,是一本既有理论又有实践的设计大全。

图书封面

图书标签Tags

广告

下载页面


Verilog数字系统设计教程 PDF格式下载



有志于成为数字逻辑设计工程师的同学,都建议好好读一读这本书国内至今所见对verilog介绍最详细全面的著作,深入浅出,简洁易懂。其中的不少经验与技巧是很不错的,时序约束讲得很详细,也有简单的例程,也算真的是深入浅出了。有些地方只提到表面而不讲详细,如SDRAM的驱动,他并没有深入讲解SDRAM驱动代码该怎么写,但我相信要讲清楚确实是非常难的。 不适合连verilog HDL都不知的入门生,因为它没有讲HDL基础的。很适合自学,对掌握数字系统设计很见效果,同时对学 VHDL也很有帮助


这本是夏宇闻老师的VerilogHDL数字系统设计第二版,是初学HDL不可缺少的教材,对Verilog讲得很详细。从这本书入手,肯定能学到很多东西!


内容循序渐进,从Verilog的基础知识到复杂数字逻辑设计,很全面。


Verilog数字系统设计教程适合verilog初学者,是大家学习verilog的首选


Verilog数字系统设计教程是数字芯片设计学习的一本很好书籍,极力推荐!!


Verilog数字系统设计教程在书店没买到,在当当上看到了,真好,以后都回来当当....


作者是国内很有名气的,也是较早将verilog hdl引入国内的,在这方面写的教材也是最为经典的。这本书讲解了相关语法和要点,比较适合入门。


我是天大自动化的。因为是零基础学习FPGA,所以先学习verilog语言。
优点:夏老师的这本书讲解verilog是非常详尽的。
缺点是关于仿真工具使用的讲解过于粗略。
可以先用这本书把verilog语法和基础的实例学明白,然后仿真工具的使用参考其他书或看视频教程。


终于买到一本适合初学者用的Verilog HDL书了,这可是夏宇闻教授的倾力之作,为培养我国高端人才,缩小我国与发达国家在IC领域的差距而编写的。本人也是Verilog HDL初学者,力荐这本书!


夏老师的这本书讲的很详细,例子已很多,值得认真去学习,推荐学习verilog HDL的初学者购买。


学习verilog hdl很好的一本书


书中内容从算法和计算的基本概念出发,讲述如何由硬线逻辑电路来实现复杂数字逻辑系统的方法


想学HDL的,可以拿这本入门,这本是Verilog HDL,和VHDL还是有一定区别的~要注意哈


夏文宇老师的这本书,从0基础开始,深入浅出,举得例子也是典型的电路的实例,是一本入门到熟练掌握Verilog语言的必备工具书。


相当经典的数字与Verilog方面的资料,可以买一本收藏,学习,忘记可以查阅,基本上有这一本就差不多了,不过可以配合其他FPGA方面书籍


一直就想买这本书,大二刚学完数电,觉得应该趁热打铁学学Verilog等硬件编程语言,现在书到了,可以开始配合硬件学习了


纸张很舒服,前边讲得很细,后边都是应用的例子。

是学习Verilog的入门好书!!!也可以作为写程序的语法手册。。。

很不错!!


这是一本教父式的启蒙书,虽然是Verilog语言的,但内容层次鲜明,由浅入深,越能引发读者的思考和兴趣,而且还时不时穿插一些简单但经典的例子来生动的说明,对于一些让人容易产生思考的混乱的问题,作者能够一针见血的点出要害,给你这样的一本书,再多的赞美之词也是白搭!!!


只要有C基础 这本书是学习verilog的比较好的入门书


Verilog 详细的语法学习用书


深入浅出的介绍了Verilog及其FPGA设计,收获很大,夏老师的这本书堪称经典


学习Verilog的必备书籍,后面还有语法总结,很不错!


夏宇闻老师的这本书对Verilog进行了详细系统的介绍,理论与实践相结合,可以很快入门的


一本学习Verilog语言非常好的教材,建议新手入门者一定要购买,绝对物超所值


很经典的verilog语法书。


国内最经典的Verilog语法书籍,作者夏宇闻老师是国内较早研究Verilog得人。当当的书也都是正版的,质量没得说!


Verilog的经典大作,学习Verilog语言的必备书籍


经过一番考虑,还是决定用这本书作为公司的FPGA/Verilog培训用书.价钱,内容,难易都较适中,适合初学者入门


很好的入门书籍,学习verilog的必备书籍,买了不后悔


一本非常不错的verilog学习书籍,既能入门,又能提高


学习Verilog,如果只能推荐一本,就是这本
名副其实的从入门到进阶


最经典的verilog书,正在学习中!


以前有学过Verilog语言,现在看着北航元老写的这本书真的好像是如鱼得水,真的,可能是我有点基础所以觉得这本书好吧。但是作为初学者这本书也很适合,没有哪一本书你不用功就能看得很懂的,没有那本书是完美的,不求最好,只求更好嘛,谢谢


很好的一本Verilog入门书,慢慢看,需要耐心…


学习Verilog的好教程,推荐


受益匪浅,详细介绍了verilog语言的重要知识点,而且章节安排适宜,非常适合入门,收藏中


夏宇闻教授写的书真是好的没法说,通俗易懂,学习Verilog必备书籍。


Verilog的语法讲得非常详细


书拿到手,第一感觉是蛮好的,内容还没有细看,不过很多人都推荐这本书,应该能对verilog有个清晰的了解吧。


很经典的一本书,推荐学习VERILOG的人看!


学习verilog的经典教材 很好理解


书不错,基础内容讲得很详细,并且还附带有好多例程,非常适合verilog初学者。


是一本学习verilog的好书,不过感觉怎么状态机那块写的内容有点少呢?!总的来说不错啊,作者也挺牛的


verilog经典入门书。实用性强


verilog 不错的选择,学习中。。。


对学习Verilog很有用


的确是一本不错的verilog学习书籍,推荐.


这是夏宇闻老师的经典书籍,比以前的版本厚实了些,在国内完全可以作为学习Verilog的教科书,非常推荐....


还要详加练习verilog


这本书是中国的VERILOG之父 夏宇闻老师编写的经典教程,适合初学者。物流很快,送货的阿姨很好。


书很好,只是送来的时候封面破了一个小洞,但是很不错,学Verilog就推荐这本书!


这本书内容比较丰富,也很容易上手,非常经典的一本关于verilog的编程教材。


老师推荐的书,也是学校的教材,因为要考verilog,干脆就买了一本。


介绍verilog语言的好书


verilog入门经典书籍,推荐认真阅读!


verilog的入门级书籍,值得拥有


本人初学FPGA,对于verilog入门很有帮助


学Verilog必备手册!


非常好,verilog讲的浅显易懂,便于自学。


对于初学CPLD和Verilog的我来说,这本书讲的内容还是挺细的,非常不错~~


很经典的verilog书,不过有些例子是错的,。。。


这样一本很详细的讲verilog的书还是很值的。


Verilog里讲得非常不错的一本书了,但是让人比较遗憾的是,小的印刷错误太多了~~~


这本书有一定难度,但作者是真的有水平,适合有毅力的人钻研Verilog!


绝好的Verilog教材,赞一个···


讲的很好,很透彻,是一本关于Verilog很好的教材~~


介绍verilog的经典教材,不用多数,看看就知道。


内容丰富 verilog经典书籍


学Verilog的人大概都知道,就不多说了


值得一读的好书!初学Verilog比较方便!


Verilog的必备书籍!


Verilog方面写的不错,学Verilog的朋友可以看看……


verilog很经典的书籍


不愧是verilog的大师,写的非常好,挺适合初学者的。。。


Verilog的经典


Verilog必读!~


作者在数字系统设计领域工作多年,总结出了这样一本好书,中文原创的技术书太少了,而这正是其中之一,有自己的风格,适合中国学生一步一步的跟着学习,有很好的指导作用,对于验证领域也有所涉及,总之,买的很值得。


只要有硬件经验,和一点编程基础,理解起来会很快,并且可以很快的去编写一些代码在ModelSim作仿真实验。书中从简单的逻辑门直到RISC指令集的CPU设计方法都有讲。而且也讲的很通俗易懂。这本书,没话说。


绝对必读的数字系统设计学习教程。
满分。


这本书将知识娓娓道来,细致深入,很不错的一本书,如果学过C语言和数字逻辑电路,还是可以很快接受的~~


本书是夏宇闻教授在VerilogHDL数字系统设计的一部名作,另外还有《VerilogHDL_数字设计与综合第二版》是国外作品,夏宇闻教授翻译,也挺不错


书的内容不错 适合想学数字系统设计的童鞋用


整本书比较好,是周立功推荐的,我立马去买了一本,和这学期要上的数字电路一起学习。希望有所收获!


本书囊括了从简单的语法到复杂的设计,很适合初学者


学数字电路的必读之书!


我是学数字ic的,这本书是eda语言绝对的经典参考书,前面是基础,后面是提高~


这本书适合初学者慢慢的到一定水平的编程者。。
书分几个部分,后面的CPU的编程是在前面几章的基础上的。
总之此书循序渐进。好书!


这一章关于组合逻辑电路和时序逻辑电路,还有竞争与冒险,以及如何消除,很透彻,也很容易明白。


作为硬件描述语言的入门教材很不错


非常不错的一本书,但是在讲语法的时候略微简单了一点,后面进阶的部分难度也很大。难度跳跃性太大,不推荐初学者自学。


讲的VHDL语言很好,系统设计很明了


当时学数字IC用的书,很好的资料


非常不错······ 很值得看·· 不仅从语法上给出详细的介绍,而且从设计实例中给出详细的讲解


书中对veriloghdl讲解的很细致,提到有很多设计建议。
学fpga必备的教材和参考书!!!


学习FPGA入门教程,语法写得很详细,各种小例子上课都用得到,要深入学习也未尝不可,只要熟读一定有用


正在做TCP/IP的硬件应用,这本书对于基础不好的我帮助很大


书不错,适合学习语法


很不错的一本书,内容很详细,对初学者是个不错的选择,可以系统的学习一下


语言讲解的还可以,但感觉结合硬件的地方不多


硬件语言的经典之作,老师推荐的


相关图书