第一图书网

数字逻辑电路基础

江国强 电子工业
出版时间:

2010-5  

出版社:

电子工业  

作者:

江国强  

页数:

260  

前言

  在20世纪90年代,国际上电子和计算机技术先进的国家,一直在积极探索新的电子电路设计方法,在设计方法、工具等方面进行了彻底的变革,并取得巨大成功。在电子设计技术领域,可编程逻辑器件(PLD)的应用,已得到很好的普及,这些器件为数字系统的设计带来极大的灵活性。由于该器件可以通过软件编程而对其硬件结构和工作方式进行重构,使得硬件的设计可以如同软件设计那样方便快捷,极大地改变了传统的数字系统设计方法、设计过程和设计观念。随着可编程逻辑器件集成规模不断扩大、自身功能不断完善,以及计算机辅助设计技术的提高,使现代电子系统设计领域的电子设计自动化(EDA)技术应运而生。传统的数字电路设计模式,如利用卡诺图的逻辑化简手段、布尔方程表达式设计方法和相应的中小规模集成电路的堆砌技术正在迅速地退出历史舞台。  本书是基于硬件描述语言HDL(HardwareDescriptionLanguage)编写的。目前,国际最流行的、并成为IEEE标准的两种硬件描述语言是VHDL和VerilogHDL,两种HDL各具特色。但VerilogHDL是在C语言的基础上演化而来的,只要具有C语言的编程基础,就很容易学会并掌握这种语言,而且国内外90%的电子公司都把VerilogHDL作为企业标准设计语言,因此本教材以VerilogHDL为主作为数字电路与系统的设计工具。  考虑到以卡诺图为逻辑化简手段和相应设计技术这种传统的数字电路设计模式仍然在国内多数高等院校使用,因此本书保留了这部分内容,同时新增了基于VerilogHDL现代的数字电路设计技术。读者通过两种设计技术的比对,更能体会现代数字电路设计技术的优越性与高效率性。  本书共10章:  第1章数制与编码,介绍脉冲信号和数字信号的特点、数制及其转换、二-十进制编码和字符编码。  第2章逻辑代数基础,介绍分析和设计数字逻辑电路的数学方法。首先介绍逻辑代数的基本概念、逻辑函数及其表示方法、基本公式、常用公式和重要定理,然后介绍硬件描述语言的基本知识,作为数字逻辑电路的设计基础。  第3章门电路,介绍晶体管的开关特性,TTL集成门电路和CMOS集成门电路。对于每一种门电路,除了介绍其电路结构、工作原理和逻辑功能外,还着重讨论它们的电气特性,为实际使用这些器件打下基础,最后介绍基于VerilogHDL的门电路设计。  第4章组合逻辑电路,介绍组合逻辑电路的特点、组合逻辑电路的分析方法和设计方法。在组合逻辑电路分析内容方面,以加法器、编码器、译码器、数据选择器、数据比较器、奇偶校验器等电路的分析为例,介绍常用组合逻辑电路的结构、工作原理、逻辑功能、使用方法和主要用途,为读者使用这些器件打下基础。在组合逻辑电路设计内容方面,除了介绍传统的设计方法外,还重点介绍了基于VerilogHDL的设计方法。最后介绍组合逻辑电路中的竞争-冒险。  第5章触发器,介绍触发器的类型、电路结构和功能的表示方法,并介绍基于VerilogHDL的触发器设计,为时序逻辑电路的学习打下基础。  第6章时序逻辑电路,介绍时序逻辑电路的结构及特点,常用集成时序逻辑部件的功能及使用方法,时序逻辑电路的分析方法,传统时序逻辑电路的设计方法和基于VerilogHDL的时序逻辑电路的设计方法。  第7章脉冲单元电路,介绍矩形脉冲信号的产生和整形电路。555定时器是一种多用途的数字/模拟混合集成电路,本章以555定时器为主,介绍用它构成的多谐振荡器、施密特触发器和单稳态触发器电路,同时还介绍用其他方式构成的脉冲单元电路。  第8章数模与模数转换,介绍D/A转换器和A/D转换器的原理、电路结构和主要技术指标,还介绍了集成D/A转换芯片DAC0832和集成A/D转换芯片ADC0809的内部结构、工作原理和使用方法。  第9章半导体存储器,首先介绍半导体存储器的结构与分类,然后介绍半导体存储器(RAM和ROM)的工作原理和使用方法,还介绍了只读存储器ROM和可编程逻辑阵列PLA在组合逻辑电路设计方面的应用,最后介绍基于VerilogHDL的半导体存储器的设计。  第10章可编程逻辑器件,介绍可编程逻辑器件(PLD)的基本原理、电路结构和编程方法。  书中列举了大量的基于VerilogHDL的门电路、触发器、组合逻辑电路、时序逻辑电路、存储器和数字系统设计的实例,供读者参考。每个设计实例都经过了EDA工具软件的编译和仿真,确保无误。  全书逻辑电路图尽可能采用国标GB4728.12-85(即国标标准IEC617-12),为了读者习惯,保留了国际和国内的惯用符号。  本书由桂林电子科技大学江国强教授编著,如有不足之处,恳请读者指正。

内容概要

  《新编电气与电子信息类本科规划教材·电子电气基础课程:数字逻辑电路基础》共10章,包括数制与编码、逻辑代数、门电路、组合逻辑电路、触发器、时序逻辑电路、脉冲单元电路、数模和模数转换、半导体存储器和可编程逻辑器件,各章后附有思考题和习题。  《新编电气与电子信息类本科规划教材·电子电气基础课程:数字逻辑电路基础》是结合传统数字设计技术与最新数字设计技术编写的,书中保留了传统的卡诺图的逻辑化简手段、布尔方程表达式设计方法和相应的中小规模集成电路的堆砌技术等方面内容,新增了以硬件描述语言(HDL)、可编程逻辑器件(PLD)的现代数字电路设计技术方面的内容。书中列举了大量的基于HDL的门电路、触发器、组合逻辑电路、时序逻辑电路、半导体存储器和数字系统设计的实例,供读者参考。每个设计实例都经过了电子设计自动化(EDA)软件的编译和仿真,确保无误。  《新编电气与电子信息类本科规划教材·电子电气基础课程:数字逻辑电路基础》图文并茂、通俗易懂,并配有电子课件和习题与实验辅导教材,可作为高等学校工科有关专业的教材和相关工程技术人员的参考书。

书籍目录

第1章 数制与编码 (1)1.1 概述 (1)1.1.1 模拟电子技术和数字电子技术 (1)1.1.2 脉冲信号和数字信号 (1)1.1.3 数字电路的特点 (2)1.2 数制及其转换 (2)1.3 编码 (5)1.3.1 二-十进制编码 (5)1.3.2 字符编码 (6)本章小结 (7)思考题和习题 (7)第2章 逻辑代数和硬件描述语言基础 (9)2.1 逻辑代数基本概念 (9)2.1.1 逻辑常量和逻辑变量 (9)2.1.2 基本逻辑和复合逻辑 (9)2.1.3 逻辑函数的表示方法 (13)2.1.4 逻辑函数的相等 (15)2.2 逻辑代数的运算法则 (16)2.2.1 逻辑代数的基本公式 (16)2.2.2 逻辑代数的基本定理 (16)2.2.3 逻辑代数的常用公式 (17)2.2.4 异或运算公式 (19)2.3 逻辑函数的表达式 (19)2.3.1 逻辑函数常用表达式 (19)2.3.2 逻辑函数的标准表达式 (20)2.4 逻辑函数的简化法 (22)2.4.1 逻辑函数简化的意义 (22)2.4.2 逻辑函数的公式简化法 (23)2.4.3 逻辑函数的卡诺图简化法 (24)2.5 Verilog HDL基础 (28)2.5.1 Verilog HDL设计模块的基本结构 (29)2.5.2 Verilog HDL的词法 (30)2.5.3 Verilog HDL的语句 (36)2.5.4 不同抽象级别的Verilog HDL模型 (41)本章小结 (42)思考题和习题 (43)第3章 门电路 (45)3.1 概述 (45)3.2 晶体二极管和三极管的开关特性 (46)3.2.1 晶体二极管的开关特性 (46)3.2.2 晶体三极管的开关特性 (50)3.3 分立元件门 (54)3.3.1 二极管与门 (54)3.3.2 二极管或门 (55)3.3.3 三极管非门 (56)3.3.4 复合逻辑门 (56)3.3.5 正逻辑和负逻辑 (58)3.4 TTL集成门 (58)3.4.1 TTL集成与非门 (59)3.4.2 TTL与非门的外部特性 (60)3.4.3 TTL与非门的主要参数 (64)3.4.4 TTL与非门的改进电路 (65)3.4.5 TTL其他类型的集成电路 (66)3.4.6 TTL集成电路多余输入端的处理 (68)3.4.7 TTL电路的系列产品 (69)3.5 其他类型的双极型集成电路 (69)3.5.1 ECL电路 (69)3.5.2 I2L电路 (70)3.6 MOS集成门 (70)3.6.1 MOS管 (70)3.6.2 MOS反相器 (72)3.6.3 MOS门 (74)3.6.4 CMOS门的外部特性 (77)3.7 基于Verilog HDL的门电路设计 (78)3.7.1 用assign语句建模方法实现门电路的描述 (79)3.7.2 用门级元件例化建模方式来描述门电路 (80)本章小结 (81)思考题和习题 (81)第4章 组合逻辑电路 (85)4.1 概述 (85)4.1.1 组合逻辑电路的结构和特点 (85)4.1.2 组合逻辑电路的分析方法 (85)4.1.3 组合逻辑电路的设计方法 (86)4.2 若干常用的组合逻辑电路 (90)4.2.1 算术运算电路 (90)4.2.2 编码器 (92)4.2.3 译码器 (94)4.2.4 数据选择器 (98)4.2.5 数值比较器 (101)4.2.6 奇偶校验器 (102)4.3 组合逻辑电路设计 (104)4.3.1 采用中规模集成部件实现组合逻辑电路 (104)4.3.2 基于Verilog HDL的组合逻辑电路的设计 (109)4.4 组合逻辑电路的竞争-冒险现象 (119)本章小结 (121)思考题和习题 (121)第5章 触发器 (125)5.1 概述 (125)5.2 基本RS触发器 (125)5.2.1 由与非门构成的基本RS触发器 (126)5.2.2 由或非门构成的基本RS触发器 (127)5.3 钟控触发器 (129)5.4 集成触发器 (133)5.4.1 主从JK触发器 (133)5.4.2 边沿JK触发器 (135)5.4.3 维持-阻塞结构集成触发器 (136)5.5 触发器之间的转换 (137)5.6 基于Verilog HDL的触发器设计 (139)5.6.1 基本RS触发器的设计 (139)5.6.2 D锁存器的设计 (140)5.6.3 D触发器的设计 (141)5.6.4 JK触发器的设计 (142)本章小结 (143)思考题和习题 (143)第6章 时序逻辑电路 (146)6.1 概述 (146)6.2 寄存器和移位寄存器 (149)6.2.1 寄存器 (149)6.2.2 移位寄存器 (149)6.2.3 集成移位寄存器 (151)6.3 计数器 (153)6.3.1 同步计数器的分析 (153)6.3.2 异步计数器的分析 (156)6.3.3 集成计数器 (160)6.4 时序逻辑电路的设计 (163)6.4.1 同步计数器的设计 (164)6.4.2 异步计数器的设计 (167)6.4.3 移存型计数器的设计 (170)6.4.4 一般同步时序逻辑电路的设计 (173)6.5 基于Verilog HDL的时序逻辑电路的设计 (175)6.5.1 数码寄存器的设计 (175)6.5.2 移位寄存器的设计 (177)6.5.3 计数器的设计 (178)6.5.4 顺序脉冲发生器的设计 (181)6.5.5 序列信号发生器的设计 (182)6.5.6 序列信号检测器的设计 (184)本章小结 (184)思考题和习题 (185)第7章 脉冲单元电路 (188)7.1 概述 (188)7.1.1 脉冲单元电路的分类、结构和波形参数 (188)7.1.2 脉冲波形参数的分析方法 (189)7.1.3 555定时器 (189)7.2 施密特触发器 (191)7.2.1 用555定时器构成施密特触发器 (191)7.2.2 集成施密特触发器 (193)7.3 单稳态触发器 (194)7.3.1 用555定时器构成单稳态触发器 (194)7.3.2 集成单稳态触发器 (195)7.4 多谐振荡器 (198)7.4.1 用555定时器构成多谐振荡器 (198)7.4.2 用门电路构成多谐振荡器 (200)7.4.3 石英晶体振荡器 (201)7.4.4 用施密特电路构成多谐振荡器 (201)本章小结 (202)思考题和习题 (202)第8章 数模和模数转换 (204)……第9章 半导体存储器第10章 可编程逻辑器件附录A 国产半导体集成电路型号命名法(GB3430-82)参考文献

章节摘录

  1.1.3 数字电路的特点  数字电路有以下主要特点:  ①数字电路只有“与”“或”“非”三种基本电路,这些电路对元件的精度要求不高,允许有较大的误差,只要在工作时能可靠地区分高、低两种电平状态就可以了,因此电路简单,而且容易实现。  ②数字电路容易实现集成化,数字集成电路具有体积小、功耗低、可靠性高等特点。  ③数字电路用0和1两种状态来表示信息,便于信息的存储、传输和处理。因此,许多现代技术都向着数字技术发展,如数字电话、数字电视等。  ④数字电路能够对输入的数字信号进行各种算术运算和逻辑运算。所谓逻辑运算,就是按照人们设计好的规则,进行逻辑推理和逻辑判断,得出相应的输出结果。因此,数字电路具有逻辑思维能力,它是计算机以及智能控制电路的基本电路。  由于具有这些显著的特点,数字电路已广泛地应用在计算机、数字通信、智能仪器仪表、自动控制、汽车电子、家用电器、航天航空等领域中。  1.2数制及其转换  在数字电路和计算机中,只用“O”和“1”两种符号来表示信息,参与运算的数也是由“O”和“1”构成的,称为二进制数。考虑到人类计数习惯,在计算机操作时,一般都 要把输入的十进制数转换为二进制数后再让计算机处理;而计算机处理的二进制结果也需 要转换为便于人类识别的十进制数后显示出来。因此,需要学习不同的数制及其转换方法。  1.数制  用数字量表示物理量的大小时,仅用一位数码往往不够用,因此经常需要用进位的方法 组成多位数码来记录数的量。把多位数码中每一位的构成方法以及从低位到高位的进位规则 称为数制。常用的数制有十进制、二进制、八进制和十六进制。  (1)十进制  十进制用0~9这10个符号来表示数,计数的基数是10(即使用的符号个数)。超过9的数必须用多位数表示,其中低位和相邻高位之间的关系是“逢十进一”或“借一当十”,故称为十进制。


图书封面

广告

下载页面


数字逻辑电路基础 PDF格式下载



相关图书