第一图书网

EDA技术及VHDL

蒋小燕,俞伟钧,张立臣 编 蒋小燕、俞伟钧、 张立臣 东南大学出版社 (2008-12出版)
出版时间:

2008-12  

出版社:

蒋小燕、俞伟钧、 张立臣 东南大学出版社 (2008-12出版)  

作者:

蒋小燕,俞伟钧,张立臣 编  

页数:

297  

前言

根据2003年1月教育部组织召开的“2l世纪中国高等学校应用型本科人才培养体系的创新与实践”课题审定会的有关精神,在原高等学校通用的计算机控制技术的基础上,根据理工类应用型本科专业的特点,编写了EDA技术及VHDL的教材。EDA技术及VHDL主要内容包括三部分:第一部分EDA技术及VHDL和可编程逻辑器件,主要包括EDA技术及VHDL概述及发展历史;可编程逻辑器件原理和应用;第二部分主要讨论VHDL结构与要素、VHDL基本语句、MAX+PLUSⅡ开发工具、VHDL设计应用实例;第三部分主要讨论研究EDA技术及VHDL的实验方法,主要包括基本数字逻辑电路、可编程逻辑器件的应用。为了让读者能全面、系统地掌握计算机控制技术系统的知识,达到教育部对应用型本科的要求,根据应用型本科的特点,本书在编写过程中,力求由浅入深,循序渐进,通俗易懂,基本概念和基本知识准确清晰,本书结构条理清晰,重点要素突出。本书共分8章。第1章介绍EDA技术及VHDL现状与发展(绪论);第2章主要介绍可编程逻辑器件结构、原理和应用技术;第3章主要研究讨论VHDL结构与要素;第4章主要研究讨论VHDL基本语句,第5章主要介绍MAX+PLUSⅡ开发工具;第6章主要研究讨论基本逻辑电路设计方法及应用;第7章主要介绍VHDL设计与应用实例;第8章主要研究讨论EDA技术及VHDL实验原理与实验方法。本书由蒋小燕、俞伟钧、张立臣任主编,黄文生、徐俊任副主编;其中第1章至第2章由俞伟钧编写,第3章由黄文生编写,第4章由张立臣编写,第5章至第7章由蒋小燕编写,第8章和附录由徐俊编写,本书由蒋小燕统稿,由钱显毅主审。由于作者水平有限,书中难免有错误或不足之处,敬请广大读者批评、指正。

内容概要

  本书全面介绍了EDA技术及VHDL概述和发展历史;讨论了EDA技术及VHDL与可编程逻辑器件的原理和应用;分析了VHDL结构与要素、VHDL基本语句;介绍了MAX+PLUS Ⅱ开发工具、VHDL设计应用实例;研究了EDA技术及VHDL的实验方法,主要包括基本逻辑电路、可编程逻辑器件的应用。  本书编写力求反映应用型本科的要求和理工类专业的教学特点,内容力求由浅入深,循序渐进,通俗易懂,基本概念和基本知识准确清晰,说明简明扼要,注重将理论与实际应用有机地结合起来,并且特别注意以形象直观的形式来配合文字表述,重点突出,以帮助读者掌握计算机控制技术的主要内容。  本书可适应不同层次的读者选用,既可用于高等学校理工类本科教材,也适用于各类工程技术人员参考、阅读。

书籍目录

1 EDA技术概述1.1 EDA技术及其发展1.1.1 EDA技术的发展阶段1.1.2 EDA的发展趋势1.2 EDA技术的主要内容1.2.1 硬件描述语言1.2.2 大规模可编程逻辑器件1.2.3 软件开发工具1.2.4 实验开发系统1.3 EDA技术的基本工具1.3.1 设计输入编辑器1.3.2 综合器1.3.3 仿真器1.3.4 适配器(布局布线器)1.3.5 下载器1.4 EDA技术的基本设计思路1.4.1 EDA电路级设计1.4.2 EDA系统级设计1.5 EDA设计开发流程1.5.1 设计输入1.5.2 综合1.5.3 适配1.5.4 时序仿真与功能仿真1.5.5 编程下载1.5.6 硬件测试习题12 可编程逻辑器件2.1 可编程逻辑器件概述2.1.1 PLD发展历程2.1.2 可编程逻辑器件的特点2.1.3 可编程逻辑器件的分类2.2 简单PLD原理2.2.1 PLD中阵列的表示方法2.2.2 PROM2.2.3 PLA器件2.2.4 PAL2.2.5 GAL器件2.3 CPLD的结构与工作原理2.3.1 CPLD的基本结构2.3.2 Altera公司MAX系列CPLD简介2.4 FPGA结构与工作原理2.4.1 FPGA的基本结构2.4.2 Xilinx公司XC3000系列的结构2.5 常用FPGA/CPLD产品简介2.5.1 ALTERA公司的FPGA/CPLD器件系列2.5.2 Lattice公司CPLD器件系列2.5.3 Xilinx公司的FPGA/CPLD器件系列2.6 FPGA/CPLD的测试技术2.6.1 内部逻辑测试2.6.2 JTAG边界测试技术2.7 FPGA和CPLD的比较习题23 VHDL结构与要素3.1 实体3.1.1 实体说明3.1.2 类属GENERIC)说明语句3.1.3 端口说明3.2 结构体3.3 库、程序包及配置3.3.1 库3.3.2 程序包3.3.3 配置3.4 VHDL文字规则3.4.1 数字型文字3.4.2 字符串型文字3.4.3 标识符3.4.4 下标名及下标段名3.5 VHDL数据对象3.5.1 常量(CONSTANT)3.5.2 变量(VARIABLE)3.5.3 信号(SIGNAL)3.6 VHDL数据类型3.6.1 VHDL的预定义数据类型3.6.2 IEEE预定义标准逻辑位与矢量3.6.3 其他预定义标准数据类型3.6.4 用户自定义数据类型方式3.6.5 类型转换3.7 VHDL操作符3.7.1 逻辑操作符3.7.2 关系操作符3.7.3 算数操作符3.7.4 并置操作符3.7.5 省略赋值操作符习题34 VHDL基本语句4.1 VHDL顺序语句4.1.1 赋值语句4.1.2 转向控制语句4.1.3 WAIT语句4.1.4 子程序调用语句4.1.5 返回语句(RETURN)4.1.6 断言语句(Assert)4.1.7 REPORT语句4.1.8 NULL语句4.2 VHDL并行语句4.2.1 并行信号赋值语句4.2.2 进程语句4.2.3 块语句(BLOCK)4.2.4 并行过程调用语句4.2.5 元件例化语句4.2.6 生成语句4.3 属性描述与定义语句习题45 MAX+plus Ⅱ开发工具5.1 Max+plusⅡ开发系统的特点5.2 VHDL文本输入设计方法初步5.2.1 文本输入5.2.2 系统的编译、综合、适配5.2.3 时序仿真5.2.4 引脚锁定5.2.5 编程下载5.3 原理图输入设计方法5.3.1 原理图编辑工具5.3.2 原理图方式设计步骤5.3.3 设计流程归纳5.3.4 较复杂电路的原理图设计5.4 参数可设置LPM宏功能块应用5.4.1 基于LPM数控分频器设计5.4.2 编辑定制LPM_FF模块5.4.3 编辑定制LPM_FIFO模块5.4.4 基于LPMV_ROM的4位乘法器设计5.5 波形输入设计方法5.6 VHDL与原理图混合设计方式习题56 基本逻辑电路设计6.1 组合逻辑电路设计6.1.1 门电路6.1.2 编码器6.1.3 译码器6.1.4 选择器6.1.5 比较器6.1.6 加法器6.1.7 三态门及总线缓冲器6.2 时序逻辑电路设计6.2.1 时钟信号和复位信号6.2.2 触发器6.2.3 寄存器6.2.4 计数器6.3 有限状态机(FSM)6.3.1 状态机的功能和基本结构6.3.2 Moore型有限状态机的设计6.3.3 Mealy型有限状态机的设计习题67 VHDL设计应用实例7.1 移位相加8位硬件乘法器电路设计7.1.1 设计原理7.1.2 硬件乘法器的设计7.1.3 系统仿真7.2 数字频率计的设计7.2.1 设计原理7.2.2 数字频率计的设计7.2.3 系统仿真7.3 电梯控制系统的设计7.3.1 设计要求7.3.2 设计思路7.3.3 设计实现7.3.4 系统仿真7.4 多功能信号发生器的设计7.4.1 设计思路7.4.2 多功能信号发生器的设计实现7.4.3 系统仿真7.5 数字闹钟系统设计7.5.1 闹钟系统的设计要求及设计思路7.5.2 闹钟系统的总体设计思路7.5.3 闹钟系统的控制器的设计7.5.4 闹钟系统的预置寄存器的设计7.5.5 闹钟系统的寄存器的设计7.5.6 闹钟系统的分频器的设计7.5.7 闹钟系统的时间计数器的设计7.5.8 闹钟系统的显示驱动器的设计7.5.9 闹钟系统的整体组装7.6 基于DDS的数字移相正弦信号发生器设计7.6.1 系统设计要求7.6.2 系统设计方案7.6.3 设计实现7.7 乒乓球游戏电路设计7.7.1 设计思路7.7.2 各模块的设计7.8 乐曲硬件演奏电路设计7.8.1 设计要求7.8.2 设计原理7.8.3 乐曲硬件演奏电路的设计7.8.4 乐曲硬件演奏电路的顶层设计7.8.5 系统仿真习题78 实验实验一 原理图输入设计8位全加器实验二 含异步清零和同步时钟使能的4位加法计数器实验三 七段数码显示译码器设计实验四 数控分频器的设计实验五 4位十进制频率计设计实验六 用状态机实现序列检测器的设计实验七 用状态机对ADC0809采样控制电路的实现实验八 循环冗余校验(CRC)模块设计附录 GW48实验电路结构图部分习题参考答案参考文献

章节摘录

插图:1 EDA技术概述1.2 EDA技术的主要内容EDA技术主要内容包括四个方面:①硬件描述语言;②大规模可编程逻辑器件;③软件开发工具;④实验开发系统。其中,硬件描述语言是利用EDA技术进行电子系统设计的主要表达手段,大规模可编程逻辑器件是利用EDA技术进行电子系统设计的载体,软件开发工具是利用EDA技术进行电子系统设计的智能化的自动化设计工具,实验开发系统则是利用EDA技术进行电子系统设计的下载工具及硬件验证工具。1.2.1 硬件描述语言硬件描述语言(HDL)是各种描述方法中最能体现EDA优越性的描述方法。所谓硬件描述语言,实际就是一个描述工具,其描述的对象就是待设计系统的逻辑功能,实现该功能的算法,选用的电路结构以及其他各种约束条件等,通常要求HDL既能描述系统的行为,又能描述系统的结构。HDL的使用与普通的高级语言相似,编制的HDL程序也需要首先经过编译器进行语法,语义的检查,并转换为某种中间数据格式,但与其他高级语言相区别的是,用硬件描述语言编制程序的最终目的是要生成实际的硬件,因此HDL中有与硬件实际情况相对应的并行处理语句。此外,用HDL编制程序时,还需注意硬件资源的消耗问题(如门,触发器,连线等的数目),有的HDL程序虽然语法,语义上完全正确,但并不能生成与之相对应的实际硬件,其原因就是要实现这些程序所描述的逻辑功能,消耗的硬件资源将十分巨大。


编辑推荐

《EDA技术及VHDL》可适应不同层次的读者选用,既可用于高等学校理工类本科教材,也适用于各类工程技术人员参考、阅读。

图书封面

广告

下载页面


EDA技术及VHDL PDF格式下载



相关图书