第一图书网

Verilog嵌入式数字系统设计教程

阿申登 北京航空航天大学出版社
出版时间:

2009-7  

出版社:

北京航空航天大学出版社  

作者:

阿申登  

页数:

494  

Tag标签:

无  

前言

本书的翻译是由两位年轻人和我共同完成的,其中一位已经在生命科学和电子科学的交叉领域探索了10年。由于她的帮助,我只需要翻译第6~10章,以及几个附录。我们互相交换审核,显著提高了翻译的质量,并加快了翻译的进度。翻译本书的出发点是,帮助所有对数字系统设计感兴趣的年轻人学习和掌握嵌人式数字系统的新技术和新方法。因此在翻译的过程中,我们尽量从读者理解课程内容的角度出发,思考如何才能更清楚、更准确地用中文介绍书中的内容。由于本书是针对计算机软件专业大学本科二年级学生的课程,所以作者的讲述是从基础电路知识开始,逐步深入,最后试图达到对嵌入式系统有比较深刻和全面理解的高度。在翻译的过程中,我们逐渐对作者在这一领域深厚的学术和工程设计功底有了比较深刻的体会。作者通过问答的方式帮助学生理解基础知识的教学方法,确实是每位教师应该学习的。这就是那么多著名大学的教授和Tensilica设计公司的首席科学家对本书做出如此之高评价的原因。本书翻译工作的具体安排如下:前言、序言、第1~5章的翻译由夏嘉宁完成,目录、第6~10章以及附录A、B、C、D和索引的翻译由夏宇闻完成;其中第9章的翻译初稿由北京航空航天大学高等工程学院的本科生王文杰同学完成。王文杰同学是我在北京航空航天大学的关门弟子,他在完成毕业设计后,以总分第一名的成绩被清华大学微电子所录取为IC设计专业的硕士研究生。全书的最终审校和定稿由夏宇闻负责。本书的终稿完成后,经过上海澜起IC设计公司技术总监山岗先生的认真审阅。北京神州龙芯IC设计公司的樊荣、陈岩、甘伟、刘家正、周鹏飞等工程师,和正在实习的研究生李鹏、宋成伟、邢志成、徐树、彭寅、田宇等同学认真阅读了全书的翻译稿,并提出了宝贵的修改意见,他们的反馈显著提高了翻译的质量,在此表示衷心的感谢。

内容概要

通过系统设计的背景来讲解数字设计,全面覆盖了与嵌入式系统设计相关的各个方面,其中各章节不仅讲述了逻辑设计本身,还阐述了处理器、存储器、输入/输出接口和实现技术。 本书特别强调在数字系统设计时,除了考虑逻辑设计外,还必须考虑用现实世界的工程方法来实现嵌入式系统的设计存在的许多约束条件和制约因素,诸如电路面积、电路的互连、接口的需求、功耗和速度性能等,重点讲解基于硬件描述语言(HDL)的设计和验证。全书列举了大量的Verilog例子,通过把数字逻辑作为嵌入式系统设计的一部分进行讲解,有效地加深读者对硬件的理解。  本书可为计算机工程、计算机科学和电子工程学科的学生学习数字设计打下坚实的基础。

作者简介

阿申登(Peter J,Ashenden)是阿德莱德太学的副教授靶,Ashenden Design公司的创办人这是一家专门从事电子设计自动化EDA业务的咨询公司Ashenden博士一直专心致力于IEEE VHDL标准委员会的工作,并在VHDL语言的进一步发展中,缝续发挥重要作用 在2003—2005年期间,他曾担任IE

书籍目录

第1章 引言和方法学 1.1 数字系统和嵌入式系统 1.2 二进制表示法和电路元件 1.3 实际的电路  1.3.1 集成电路  1.3.2 逻辑电平  1.3.3 静态负载电平  1.3.4 电容负载和传播延迟  1.3.5 线路延迟  1.3.6 时序  1.3.7 电源  1.3.8 面积和芯片封装 1.4 模型 1.5 设计方法学 1.6 全章总结 1.7 进一步阅读的参考资料 练习题第2章 组合电路基本知识 2.1 布尔函数与布尔代数  2.1.1 布尔函数  2.1.2 布尔代数  2.1.3 布尔方程的Verilog模型 2.2 二进制编码  2.2.1 使用向量的二进制编码  2.2.2 位错误 2.3 组合元件和集成电路  2.3.1 解码器和编码器  2.3.2 多路选择器  2.3.3 低电平有效逻辑 2.4 组合电路的验证 2.5 本章总结 2.6 进一步阅读的参考资料 练习题第3章 数字基础 3.1 无符号整数  3.1.1 无符号整数的编码  3.1.2 无符号整数的运算  3.1.3 格雷码(Gray code) 3.2 有符号整数  3.2.1 有符号整数的编码  3.2.2 有符号整数的操作 3.3 定点数  3.3.1 定点数的编码  3.3.2 对定点数的操作 3.4 浮点数 3.5 本章总结 3.6 进一步阅读的参考资料 练习题第4章 时序电路基础 4.1 存储单元  4.1.1 触发器和寄存器  4.1.2 移位寄存器  4.1.3 锁存 4.2 计数器 4.3 顺序数据路径和控制 4.4 由时钟同步的时序方法学  4.4.1 异步输入  4.4.2 时序电路的验证  4.4.3 异步时序的方法学 4.5 本章总结 4.6 进一步阅读的参考资料 练习题第5章 存储器 ……第6章 实现技术和工艺第7章 处理器基础第8章 接口第9章 加速器第10 章 设计方法学附录A 知识测试问答答案附录B 电子电路入门附录C 用于综合的Verilog附录D Gumnut微控制器核索引

章节摘录

插图:第1章 引言和方法学第1章将介绍蕴涵在现代数字系统设计中的一些十分重要的思想,其中包括了相当数量的基础知识。其宗旨是阐明全书内容的脉络,以便在随后的各章中展开更深入的讨论。首先介绍构成数字系统的基本电路元件,并考察把这些元件连接在一起,完成所需功能的一些方法。我们也要考虑某些必须牢记的非理想效应,因为这些非理想效应会对设计产生强制的约束。然后,我们把关注点集中在基于硬件描述语言模型的系统设计过程上。以系统化的方式处理设计的全过程,以便开发出满足现代应用需求的复杂系统。1.1 数字系统和嵌入式系统是一本讲解数字设计(digital design)的教科书。下面来探讨一下数字和设计这两个词。数字是指以一种特殊的方式,即只用两个电平(I/O)来表示信息的电子线路。这样做的主要目的是提高电路的可靠性和准确性。后面将看到采用数字化办法带来的许多好处。也常用逻辑这个术语来表示数字电路,即用两个电平(I/O)表示逻辑的真值,以便用逻辑规则来分析数字电路。这为构建逻辑系统奠定了强有力的数学基础。设计这个词是指:规划出构建满足给定需求,同时也满足一系列约束条件(即成本、性能、功耗、体积、质量和其他条件)的电路系统的全过程。本书将把讲述的重点放在设计方面,并为设计复杂的数字系统建立一套方法学。数字电路的历史悠久且耐人寻味。在数字电路之前,人们已开发应用了机械系统、机电系统以及模拟电路系统。这些系统大部分应用于商业和军事领域,用于进行数值计算,例如,用于账目计算或弹道表的计算。不过,这些系统有许多弊端,包括:计算不准确、速度慢、维护费用昂贵。

媒体关注与评论

《Verilog嵌入式数字系统设计教程》这本书所阐述的内容是基于反映现实世界数字系统设计实践活动的现代观点的。目前,大学教程通常远远落后于工业界的开发技术,在这种时刻,本书的出版为计算机工程,电子工程和计算机科学的学生提供了必要的信息。” ——Donald Hung,圣何塞州立(San Jose State)大学Peter J.Ashenden正在为教育下一代的数字逻辑设计师,身先士卒,带头开辟一条通向新课程的道路。由于认识到数字设计已经从以门逻辑组装为中心的专用逻辑,转变为以处理器设计为中心的嵌入式系统,Ashenden博士把关注的焦点从门转向现代设计和复杂集成器件的整合,这些集成器供的物理实现可以采用许多种形式。  ——Grant Martin,首席科学家,Tensilica公司


编辑推荐

《Verilog嵌入式数字统设计教程》是通过系统设计的背景来讲解数字设计的,作者采用了这种最先进的现代纯的教学途径。《Verilog嵌入式数字系统设计教程》尽量缩减与目前实际设计方法没有关系的已过时篇幅,而把讲述的重点放在现代化的和不断发展着的设计技术上基于硬件描述语言(HDL)的设计和验证是《Verilog嵌入式数字系统设计教程》的讲解重点,书中使用了大量的Verilog例子,通过把数字逻辑作为嵌入式系统设计的一部分进行讲解,有效地加深了读者对硬件的理解嵌入式数字系统是由硬件和软件两部分组成的,因此数字系统的设计和分析必须要有硬件的基础知识《Verilog嵌入式数字系统设计教程》可为计算机工程、计算机科掌和电子工程学科的学生学习数字设计打下坚实的基地。Verilog嵌入式数字系统设计教程Digital Design:An Embedded Systems Approach Using Verilog   从系统设计的内容和视角,对数字设计进行讲解  大量列举Verilog例子,以展示硬件描述语言(HDL)在抽象行为级和寄存器传输级的使用,以及如何用于低层次的验证和验证环境的梅建。  全书始终包含许多可以实际运行的例子,帮助读者加深对书中内容的理解,并提升本书的保存价值。  相应的网页可连接到:Synplicity、Mentor Graphics和Xilinx的FPGA设计工具,本书中所有例子的Verilog源代码,讲课用幻灯片,实验室硬项目,练习题的解答。

图书封面

图书标签Tags

广告

下载页面


Verilog嵌入式数字系统设计教程 PDF格式下载



是本数字设计的标准教材,浅显易懂,应该代替大学里现行的数字电路教材,现在的教材都太烂了。翻译的还好吧,就翻译版平均水平而言已经不错了,这个东西不能要求太高。


还不错,能帮我解决问题


书籍质量部怎么好。


书刚买回来,还没细看。得好好学习一下。


不错不错 翻译的比较满意


不适合学习Verilog的初学者,是一个讲电路而不是讲语言的


国外的书就更接近实际应用,而且有很多过去没有考虑过的东西,比国内的数字电路教学先进很多。


这本书是给刚入门连数电都很基础的人的,有基础的人建议不要买。


将verilog语言与电路结合起来,而且有很多实例,初学者十分容易上手


相关图书