第一图书网

图说VHDL数字电路设计

王振红 化学工业出版社
出版时间:

2009-1  

出版社:

化学工业出版社  

作者:

王振红  

页数:

142  

前言

电子技术的发展非常迅猛,高新技术日新月异,特别是专用集成电路(ASIC)设计技术的日趋进步和完善,推动了数字电路系统的设计和发展,使它从单纯的ASIC设计走向了系统设计和单片系统设计。传统的电子技术设计方法,即从单元电路入手到整体电路的设计、“固定功能集成电路+连线”的设计、自下而上的设计,已不能够满足市场的需要。根据系统的功能和行为要求,利用计算机辅助设计自上而下逐层完成相应的描述,并与大规模可编程器件相结合,使设计出的电路系统速度更快、体积更小、重量更轻、功耗更小、稳定性更高,大大提高了产品的竞争能力。电子设计自动化(EDA)工具给电子设计带来了巨大变革,特别是硬件描述语言的出现和发展,解决了用传统的电路原理设计大系统工程时的诸多不便,成为电子电路设计人员的最得力助手。其实,早在20世纪80年代后期,各个ASIC研制和生产厂商为了缩短产品开发周期,提高产品在市场上的竞争力,就相继开发了用于各自目的的硬件描述语言,如ABEL、AHDL等。但是由于没有统一的标准,这些语言的普及受到了限制。1987年12月,IEEE对美国国防部开发的超高速集成电路硬件描述语言(Very High Speed Integrate Circuit Hardware Description Language, VHDL)进行了标准化的工作,得到广大用户的一致欢迎。自此以后,VHDL成了数字电路系统设计的“世界语”。各个CAD厂商都努力使自己的电子设计软件与VHDL兼容,各高等院校纷纷开设了VHDL设计课程,国内也有越来越多的设计人员开始学习和使用VHDL进行电路系统的设计。近年来,可编程逻辑器件的开发生产和销售规模以惊人的速度增长。发展集成电路事业是我国制定的新世纪的重要发展目标,也是经济全球化新形势下的科技挑战。编写本书的目的,是通过大量的设计实例,由浅入深、由简到繁地宣传和推广VHDL,以提高电子设计领域人员的设计能力。本书的43个设计实例由浅入深,且配有图、注释,所有设计实例从编程、编译、仿真、布局布线和适配,直至配置/下载和硬件测试,都运用了VHDL设计方法,并且经过实践检验是正确的。本书由王振红编著,北方工业大学信息工程学院张常年教授担任本书的主审,在认真审阅的同时提出了许多宝贵意见。张东彦、宋鹏、曹淑琴、周燕平、康晓麓、赵徐森、刘淑敏、吴晓林、韩宇龙、胜智勇等对本书的编写工作给予了很多关心和支持,在此对他们表示衷心的感谢。

内容概要

本书主要介绍了VHDL数字电路设计的主要内容和方法,包括VHDL的数据和表达式、VHDL的顺序描述语句、VHDL的并行描述语句、 VHDL的时钟信号描述方法、VHDL的有限状态机的设计、VHDL数字电路设计实例。全书43个设计实例由浅入深,并配有图示和注释。 本书旨在提高读者VHDL编程能力,力求简单、易懂,使读者容易接受。本书适用于电类专业本科、大专、中专学生及工程技术人员使用。

书籍目录

第1章 VHDL的数据和表达式 1.1 VHDL程序的特点 1.2 VHDL程序的基本结构  1.2.1 库说明  1.2.2 实体说明  1.2.3 结构体说明 1.3 VHDL的数据  1.3.1 基本标志符  1.3.2 数据对象  1.3.3 数据类型 1.4 VHDL的表达式  1.4.1 逻辑运算符  1.4.2 算术运算符  1.4.3 关系运算符  1.4.4 并置运算符  1.4.5 操作符的运算优先级第2章 VHDL的顺序描述语句 2.1 信号赋值语句和变量赋值语句 2.2 if语句 2.3 case语句 2.4 forloop循环语句 2.5 null语句第3章 VHDL的并行描述语句 3.1 进程语句  3.1.1 进程语句的敏感信号表  3.1.2 进程语句的启动  3.1.3 进程语句的同步 3.2 并发信号赋值语句 3.3 条件信号赋值语句 3.4 选择信号赋值语句 3.5 元件例化语句 3.6 生成语句第4章 VHDL的时钟信号描述方法 4.1 时钟信号的VHDL描述方法  4.1.1 时钟边沿的描述  4.1.2 时序电路中进程敏感信号是时钟信号 4.2 时序电路中复位信号的VHDL描述方法  4.2.1 同步复位  4.2.2 异步复位第5章 VHDL的有限状态机的设计 5.1 有限状态机的基本概念 5.2 一个Moore型有限状态机的设计实例第6章 VHDL数字电路设计实例 6.1 门电路VHDL程序设计  6.1.1 与非门电路  6.1.2 二输入或非门电路  6.1.3 二输入异或门电路  6.1.4 反向器门电路  6.1.5 三态门电路  6.1.6 单向总线缓冲器  6.1.7 双向总线缓冲器 6.2 组合逻辑电路VHDL程序设计  6.2.1 监视交通信号灯工作状态的逻辑电路  6.2.2 8线-3线编码器  6.2.3 8线-3线优先编码器  6.2.4 二-十进制编码器 ……参考文献

章节摘录

插图:当VHDL程序执行到该语句时,首先要进行条件判断,然后才可以进行信号赋值操作。如果满足该条件,就将该条件前面那个表达式的值赋给目标信号;如果不满足该条件,就去判断下一个条件;最后一个表达式没有条件,也就是说在前面的条件都不满足时,就将该表达式的值赋给目标信号。程序3.5给出了一个使用了条件信号赋值语句的VHDL程序,可以看出,它描述的是一个简单的二输入与门电路。VHDL程序的结构体中含有一个条件信号赋值语句,它的作用是根据不同的输入信号条件把不同的值赋给输出信号。由程序3.5产生的and gate电路符号如图3.4所示。


图书封面

广告

下载页面


图说VHDL数字电路设计 PDF格式下载



很不错的入门书籍,里面有很多例子,作为初学是非常好的教材,而且不枯燥。推荐阅读


相关图书