第一图书网

SystemVerilog验证方法学

伯杰龙 北航大
出版时间:

2007-5  

出版社:

北航大  

作者:

伯杰龙  

页数:

467  

Tag标签:

无  

内容概要

本书借助许多用SystemVerilog编写的例子,介绍和说明一套完整的验证方法学。它涵盖了所有最新的验证技术,其中包括:验证计划制定、 TestBench架构、受约束随机激励产生、以覆盖率为主导(coverage-driven) 的验证、基于断言(assertion-based)的验证、形式化分析,以及基于一个开放、完善的方法学上的系统级验证。此外,本书也包括标准程序库、VMM 和VMM检查器,从而可帮助缩短验证开发的时间。 本书可作为电子工程类、自动控制类、计算机类的大学本科高年级学生及研究生教学用书,亦可供其他工程人员自学与参考。

作者简介

Janick Bergeron是为Synopsys公司工作的科学家,一本最畅销的书Writing Testbench-es:Functional Verification of HDL Models的作者,他也是验证规范仲裁主持人。他曾经在滑铁卢(Waterloo)大学获得电子工程硕士学位,在魁北克西谷提密(du Quebeca Chicoutime)大学获得工程学士学位,并在俄勒岗(Orgon)大学获得MBA学位。

书籍目录

专家推荐译者序序言前言本书是如何组织的如何阅读本书附加资料来源感谢第1章 导论 验证生产率 提高生产率 验证组件 基于接口的设计 针对验证的设计 使用断言的好处 方法学的实现 方法学的采纳 指导原则 基本的编码指导原则 术语的定义第2章 验证计划 计划过程 功能验证的需求 验证环境的需求 验证计划的实现方案 响应检查 嵌入式监视器 断言 精确性 记分板 参考模型 离线检查 总结第3章 断言 断言的指定 断言语言基本知识 DUT(被测设计)内部信号上的断言 外部接口上的断言 断言编码的指导原则 覆盖率属性 基于断言的可重用检查器 简单检查器 基于断言的验证IP 基于断言的IP结构 文档与发行内容 断言的鉴定 总结第4章 测试平台的基本结构 测试平台的架构 信号层 指令层 功能层 场景层 测试层 仿真控制 消息服务 数据和事务 类属性/据成员 方法第5章 激励与响应第6章 针对覆盖率的验证第7章 用于形式化验证的断言第8章 系统级验证第9章 处理器集成验证附录A VMM标准库技术说明书附录B VMM检查器库附录C XVC标准库技术说明书附录D 软件验证框架索引作者介绍


图书封面

图书标签Tags

广告

下载页面


SystemVerilog验证方法学 PDF格式下载



  静下心来想好好读一读这本书,读不了几页就给呛得受不了了。
  不是说原书好不好--相信一定非常好,而是像很多很多中国人翻译的外文技术经典一样,翻译得太烂了。你会以为那是恰好其文字也正好是方块象形文字的另一种语言。
  夏老先生自己写的中文书其实还是不错的,但是何苦来呢?明知道技术书籍不好翻译,又不能专心下来琢磨推敲,交给一堆小研究生用自动翻译软件瞎鼓捣,还要占着一个第一作者的名号,累不累呀。
  书首的“专家推荐”栏中的那些专家们也不怕随便乱写推荐不会掉自己的身价--好歹也得先读一遍再写吧。
  
  恰好手头有一本日文版的,参照做就皱着眉头往前读,读到第二章“规则2-14”中“...'RTL语言描述码'...”,再也忍不住差一点要喷了。
  
  原文或许是RTL source code, 或许是RTL code,或许是什么别的,但是如果不是用翻译软件,按照中国人的表述习惯就不会翻译成什么“RTL语言描述码”--原文是RTL language description code?手头没有英文版,没法求证。
  不是吹毛求疵,而是窥一叶而知秋。这么显而易见的地方都翻不好,其它远远比这难以翻译的地方就更不必说了。
  
  能读英文原版(影印版也可以啊)的尽量读原版吧。我真为原作者叫屈。


  感觉这本书适合有相当经验的读者,初学者不太适用.先看看<<systemverilog硬件设计与建模>>


老牛就是牛


相关图书